Publication

Search

930 entries « 3 of 19 »

2016

Tsujimoto, Yuki; Itoh, Yuichi; Onoye, Takao

Ketsuro-Graffiti: an Interactive Dislplay with Water Condensation Proceedings Article

In: Proceedings of ACM International Conference on Interactive Surfaces and Spaces 2016 (ISS 2016), pp. 49-55, ACM, 2016.

BibTeX | タグ:

2015

Iizuka, S.; Higuchi, Y.; Hashimoto, M.; Onoye, T.

Device-Parameter Estimation with Sensitivity-Configurable Ring Oscillator Journal Article

In: IEICE Trans. Fundamentals of Electronics Communications and Computer Sciences, vol. E98-A, no. 12, pp. 2607–2613, 2015.

BibTeX | タグ:

TOMITA, Kosuke; HATANAKA, Masahide; ONOYE, Takao

Implementation of Viterbi decoder toward GPU-based SDR receiver Journal Article

In: IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol. E98-A, no. 11, pp. 2246-2253, 2015.

BibTeX | タグ:

Oo, T. T.; Onoye, T.; Shin, K.

Partial Encryption Method That Enhances MP3 Security Journal Article

In: IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol. E98-A, no. 8, pp. 1760-1768, 2015.

BibTeX | タグ:

Fukuda, D.; Watanabe, K.; Kanazawa, Y.; Hashimoto, M.

Modeling the Effect of Global Layout Pattern on Wire Width Variation for On-the-Fly Etching Process Modification Journal Article

In: IEICE Trans. Fundamentals of Electronics Communications and Computer Sciences, vol. E98-A, no. 7, pp. 1467–1474, 2015.

BibTeX | タグ:

Shinada, T.; Hashimoto, M.; Onoye, T.

Proximity Distance Estimation based on Electric Field Communication between 1mm³ Sensor Nodes Journal Article

In: Analog Integrated Circuits and Signal Processing, 2015.

BibTeX | タグ:

C.Siriteanu,; A.Takemura,; S.Kuriki,; D.Richards,; H.Shin,

Schur complement based analysis of MIMO zero-forcing for Rician fading Journal Article

In: IEEE Transactions on Wireless Communications, vol. 14, no. 4, pp. 1757-1771, 2015.

BibTeX | タグ:

C.Siriteanu,; A.Takemura,; S.Kuriki,; H.Shin,

MIMO zero-forcing performance evaluation using the holonomic gradient method Journal Article

In: IEEE Transactions on Wireless Communications, vol. 14, no. 4, pp. 2322 - 2335, 2015.

BibTeX | タグ:

Hirokawa, S.; Harada, R.; Hashimoto, M.; Onoye, T.

Characterizing alpha- and neutron-induced SEU and MCU on SOTB and bulk 0.4-V SRAMs Journal Article

In: IEEE Transactions on Nuclear Science, 2015.

BibTeX | タグ:

安藤正宏,; 細井俊輝,; 伊藤雄一,; 高嶋和毅,; 北村喜文,

StackBlock: 積み重ね形状認識可能なブロック型UI Proceedings Article

In: 情報処理学会インタラクション論文集, 2015.

BibTeX | タグ:

宮崎陽平,; 伊藤雄一,; 健, 藤原; 高嶋和毅,; 尾上孝雄,

SenseChairによる会話者間の同調傾向検出 Proceedings Article

In: 情報処理学会インタラクション2015論文集, 2015.

BibTeX | タグ:

益田涼平,; 橋本昌宜,; 尾上孝雄,

サーモパイル型赤外線センサを用いた人感センサの性能評価 Journal Article

In: 2015年電子情報通信学会総合大会講演論文集, 2015.

BibTeX | タグ:

河野仁,; 橋本昌宜,; 近藤利彦,; 森村浩季,

超小型コイルを用いた近距離無線通信における周辺コイルの影響評価 Journal Article

In: 2015年電子情報通信学会総合大会講演論文集, 2015.

BibTeX | タグ:

優樹, 秋原; 哲也, 廣瀬; 勇気, 田中; 修隆, 黒木; 昌宏, 沼; 昌宜, 橋本

小型センサデバイスに向けた無線給電システムの設計 Journal Article

In: 回路とシステムワークショップ, pp. 258–263, 2015.

BibTeX | タグ:

増田豊,橋本昌宜,尾上孝雄,

電源ノイズ起因タイミング故障のデバッグにおける C 言語ベース故障検出手法の有効性評価 Proceedings Article

In: 情報処理学会DAシンポジウム, 2015.

BibTeX | タグ:

祐輝, 辻本; 雄一, 伊藤; 孝雄, 尾上

結露を用いたディスプレイの結露生成機構に関する研究 Proceedings Article

In: 研究報告ヒューマンコンピュータインタラクション(HCI), pp. 001-005, 2015.

BibTeX | タグ:

Aliwarga, E.; Yu, J.; Hatanaka, M.; Onoye, T.

Hardware Architecture of Generic Soft Cascaded Linear SVM Classifier Technical Report

電子情報通信学会ディペンダブルコンピューティング研究会 no. 75, 2015.

BibTeX | タグ:

檜原弘樹,; 岩崎晃,; 橋本昌宜,; 越智裕之,; 密山幸男,; 小野寺秀俊,; 神原弘之,; 若林一敏,; 杉林直彦,; 竹中崇,; 波田博光,; 多田宗弘,

センサの知能化に適したプロセッサアーキテクチャの考察 Journal Article

In: 電子情報通信学会ディペンダブルコンピューティング研究会, no. DC2015-8, pp. 43–48, 2015.

BibTeX | タグ:

Iizuka, S.; Higuchi, Y.; Hashimoto, M.; Onoye, T.

Area Efficient Device-Parameter Estimation using Sensitivity-Configurable Ring Oscillator Journal Article

In: 電子情報通信学会 VLSI設計技術研究会, 2015.

BibTeX | タグ:

雄一,尾上孝雄, 鵜川 翔平,信田 龍哉,橋本 昌宜,伊藤

クロスエントロピー法を用いたノード間距離情報に基づく3次元ノード位置推定 Journal Article

In: 情報処理学会ヒューマンコンピュータインタラクション研究会, 2015.

BibTeX | タグ:

佐藤雅紘,; 飯塚翔一,; 粟野皓光,; 橋本昌宜,; 尾上孝雄,

NBTIによる閾値電圧変化の確率的モデル化に関する一考察 Journal Article

In: 2015年電子情報通信学会総合大会講演論文集, 2015.

BibTeX | タグ:

Tsujimoto, Yuki; Itoh, Yuichi; Onoye, Takao

Ketsuro-Graffiti: A Canvas with Computer Generated Water Condensation Proceedings Article

In: SIGGRAPH Asia 2015 Emerging Technologies, pp. 15:1–15:2, ACM, 2015.

BibTeX | タグ:

Amaki, T.; Hashimoto, M.; Onoye, T.

An Oscillator-based True Random Number Generator with Process and Temperature Tolerance Journal Article

In: Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 4–5, 2015.

BibTeX | タグ:

Iizuka, S.; Higuchi, Y.; Hashimoto, M.; Onoye, T.

Area Efficient Device-Parameter Estimation using Sensitivity-Configurable Ring Oscillator Journal Article

In: Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 731–736, 2015.

BibTeX | タグ:

Hashimoto, M.; Alnajjar, D.; Konoura, H.; Mitsuyama, Y.; Shimada, H.; Kobayashi, K.; Kanbara, H.; Ochi, H.; Imagawa, T.; Wakabayashi, K.; Onoye, T.; Onodera, H.

Reliability-Configurable Mixed-Grained Reconfigurable Array Compatible with High-Level Synthesis Journal Article

In: Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 14–15, 2015.

BibTeX | タグ:

Ukawa, S.; Shinada, T.; Hashimoto, M.; Itoh, Y.; Onoye, T.

3D Node Localization from Node-to-Node Distance Information using Cross-Entropy Method Journal Article

In: Proceedings of Virtual Reality Conference (VR), 2015.

BibTeX | タグ:

Uemura, T.; Kato, T.; Okano, S.; Matsuyama, H.; Hashimoto, M.

Impact of Package on Neutron Induced Single Event Upset in 20 nm SRAM Journal Article

In: Proceedings of International Symposium on Reliability Physics (IRPS), 2015.

BibTeX | タグ:

Uemura, T.; Okano, S.; Kato, T.; Matsuyama, H.; Hashimoto, M.

Soft Error Immune Latch Design for 20 nm bulk CMOS Journal Article

In: Proceedings of International Reliability Physics Symposium (IRPS), 2015.

BibTeX | タグ:

Uemura, T.; Hashimoto, M.

Investigation of Single Event Upset and Total Ionizing Dose in FeRAM for Medical Electronic Tag Journal Article

In: Proceedings of International Symposium on Reliability Physics (IRPS), 2015.

BibTeX | タグ:

Hashimoto, M.

Run-time Performance Adaptation: Opportunities and Challenges (Invited) Journal Article

In: Proceedings of IEEE Conference on Electron Devices and Solid-State Circuits (EDSSC), 2015.

BibTeX | タグ:

Hirokawa, S.; Harada, R.; Hashimoto, M.; Sakuta, K.; Watanabe, Y.

Neutron-Induced SEU and MCU Rate Characterization and Analysis of SOTB and Bulk SRAMs at 0.3V Operation Journal Article

In: IEEE Nuclear and Space Radiation Effects Conference (NSREC), 2015.

BibTeX | タグ:

Ueno, M.; Hashimoto, M.; Onoye, T.

Real-time On-chip Supply Voltage Sensor and Its Application to Trace-based Timing Error Localization Journal Article

In: Proceedings of International On-Line Testing Symposium (IOLTS), pp. 188–193, 2015.

BibTeX | タグ:

Akihara, Y.; Hirose, T.; Tanaka, Y.; Kuroki, N.; Numa, M.; Hashimoto, M.

A Wireless Power Transfer System for Small-Sized Sensor Applications Journal Article

In: Proceedings of International Conference on Solid State Devices and Materials (SSDM), pp. 154–155, 2015.

BibTeX | タグ:

Iizuka, S.; Masuda, Y.; Hashimoto, M.; Onoye, T.

Stochastic Timing Error Rate Estimation under Process and Temporal Variations Proceedings Article

In: Proceedings of International Test Conference (ITC), 2015.

BibTeX | タグ:

Masuda, Y.; Hashimoto, M.; Onoye, T.

Performance Evaluation of Software-based Error Detection Mechanisms for Localizing Electrical Timing Failures under Dynamic Supply Noise Proceedings Article

In: Proceedings of International Conference on Computer-Aided Design (ICCAD), pp. 315-322, 2015.

BibTeX | タグ:

Aliwarga, E.; Yu, J.; Hatanaka, M.; Onoye, T.

Design of Generic Hardware for Soft Cascade-Based Linear SVM Classification Proceedings Article

In: International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS), pp. 257-262, 2015.

BibTeX | タグ:

Doi, R.; Hashimoto, M.; Onoye, T.

An Analytic Evaluation on Soft Error Immunity Enhancement due to Temporal Triplication Journal Article

In: IEEE Pacific Rim International Symposium on Dependable Computing (PRDC), 2015.

BibTeX | タグ:

Banno, N.; M.Tada,; Okamoto, K.; Iguchi, N.; Sakamoto, T.; Miyamura, M.; Tsuji, Y.; Hada, H.; Ochi, H.; Onodera, H.; Hashimoto, M.; English, T. Sugibayashi Author(s)

A Novel Two-Varistors (a-Si/SiN/a-Si) selected Complementary Atom Switch (2V-1CAS) for Nonvolatile Crossbar Switch with Multiple Fan-outs Journal Article

In: Technical Digest of IEEE International Electron Devices Meeting (IEDM), pp. 32–35, 2015.

BibTeX | タグ:

2014

Uemura, T.; Kato, T.; Tanabe, R.; Iwata, H.; Ariyoshi, J.; Matsuyama, H.; Hashimoto, M.

Exploring Well-Configurations for Minimizing Single Event Latchup Journal Article

In: IEEE Transactions on Nuclear Science, vol. 61, no. 6, pp. 3282–3289, 2014.

BibTeX | タグ:

Ando, Masahiro; Itoh, Yuichi; Hosoi, Toshiki; Takashima, Kazuki; Nakajima, Kosuke; Kitamura, Yoshifumi

StackBlock: Block-shaped Interface for Flexible Stacking Proceedings Article

In: Proc. of UIST, pp. 41-42, 2014.

BibTeX | タグ:

C.Siriteanu,; A.Takemura,; Blostein, S. D.; S.Kuriki,; H.Shin,

Convergence analysis of performance-measure expressions for MIMO ZF under Rician fading Journal Article

In: Australian Communications Theory Workshop, AUSCTW'14, Sydney, Australia, pp. 114-119, 2014.

BibTeX | タグ:

Ueno, M.; Hashimoto, M.; Onoye, T.

Trace-based fault localization with supply voltage sensor Journal Article

In: ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 2014.

BibTeX | タグ:

Uemura, T.; Kato, T.; Tanabe, R.; Iwata, H.; Matsuyama, H.; Hashimoto, M.; Takahisa, K.; Fukuda, M.; Hatanaka, K.

Preventing Single Event Latchup with Deep P-well on P-substrate Journal Article

In: Proceedings of International Reliability Physics Symposium (IRPS), 2014.

BibTeX | タグ:

Uemura, T.; Kato, T.; Tanabe, R.; Iwata, H.; Ariyoshi, J.; Matsuyama, H.; Hashimoto, M.

Optimizing Well-Configuration for Minimizing Single Event Latchup Journal Article

In: IEEE Nuclear and Space Radiation Effects Conference (NSREC), 2014.

BibTeX | タグ:

Harada, R.; Hirokawa, S.; Hashimoto, M.

Measurement of Alpha- and Neutron-Induced SEU and MCU on SOTB and Bulk 0.4 V SRAMs Journal Article

In: IEEE Nuclear and Space Radiation Effects Conference (NSREC), 2014.

BibTeX | タグ:

Iokibe, A.; Hashimoto, M.; Onoye, T.

Feasibility Evaluation on an Instant Invader Detection System with Ultrasonic Sensors Scattered on the Ground Journal Article

In: Proceedings of International Conference on Sensing Technology (ICST), pp. 188–193, 2014.

BibTeX | タグ:

Tomita, Kosuke; Hatanaka, Masahide; Onoye, Takao

An Approach to GPU Implementation of OFDM Transceiver using Dynamic Spectrum Access Proceedings Article

In: 2014 International Workshop on Smart Info-Media Systems in Asia, SISA 2014, 2014.

BibTeX | タグ:

Hashimoto, M.

Toward Robust Subthreshold Circuit Design: Variability and Soft Error Perspective (Invited) Journal Article

In: Proceedings of SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2014.

BibTeX | タグ:

Miyazaki, Yohei; Itoh, Yuichi; Tsujimoto, Yuki; Ando, Masahiro; Onoye, Takao

Ketsuro-Graffiti: Water Condensation Display Proceedings Article

In: ACE '14 Proceedings of the 11th Conference on Advances in Computer Entertainment Technology, 2014.

BibTeX | タグ:

Hashimoto, M.

Stochastic Verification of Run-time Performance Adaptation with Field Delay Testing (Invited) Journal Article

In: Proceedings of Asia Pacific Conference on Circuits and Systems (APCCAS), pp. 751–754, 2014.

BibTeX | タグ:

930 entries « 3 of 19 »